fbpx
维基百科

硬件验证语言

硬件验证语言(英語:hardware verification language, 缩写HVL)是一种用硬件描述语言(HDL)编写、用于电子电路设计验证编程语言。硬件验证语言通常具有类似C++Java这样高级语言的特点,同时又提供硬件描述语言那样的位运算功能。许多硬件验证语言能够生成带约束的随机激励,并提供了功能覆盖结构,来辅助设计人员进行复杂的硬件验证。

SystemVerilogOpenVeraSystemC是最常用的硬件验证语言[1][2]。其中,SystemVerilog更是将硬件描述语言与硬件验证语言合并到单一标准。

参考文献

  1. ^ . [2012-08-25]. (原始内容存档于2012-09-28). 
  2. ^ . [2012-08-25]. (原始内容存档于2012-02-27). 

外部链接

参见

硬件验证语言, 英語, hardware, verification, language, 缩写为, 是一种用硬件描述语言, 编写, 用于电子电路设计验证的编程语言, 通常具有类似c, 或java这样高级语言的特点, 同时又提供硬件描述语言那样的位运算功能, 许多能够生成带约束的随机激励, 并提供了功能覆盖结构, 来辅助设计人员进行复杂的硬件验证, systemverilog, openvera和systemc是最常用的, 其中, systemverilog更是将硬件描述语言与合并到单一标准, 参考文献, 编辑, . 硬件验证语言 英語 hardware verification language 缩写为 HVL 是一种用硬件描述语言 HDL 编写 用于电子电路设计验证的编程语言 硬件验证语言通常具有类似C 或Java这样高级语言的特点 同时又提供硬件描述语言那样的位运算功能 许多硬件验证语言能够生成带约束的随机激励 并提供了功能覆盖结构 来辅助设计人员进行复杂的硬件验证 SystemVerilog OpenVera和SystemC是最常用的硬件验证语言 1 2 其中 SystemVerilog更是将硬件描述语言与硬件验证语言合并到单一标准 参考文献 编辑 The ASIC Guy Verification Poll 2012 08 25 原始内容存档于2012 09 28 DVCon Language Poll 2012 08 25 原始内容存档于2012 02 27 外部链接 编辑Think Verification http www thinkverification com 页面存档备份 存于互联网档案馆 参见 编辑 电子学主题 计算机科学主题 功能验证 测试平台 取自 https zh wikipedia org w index php title 硬件验证语言 amp oldid 62410573, 维基百科,wiki,书籍,书籍,图书馆,

文章

,阅读,下载,免费,免费下载,mp3,视频,mp4,3gp, jpg,jpeg,gif,png,图片,音乐,歌曲,电影,书籍,游戏,游戏。